From 70318492f3472ff2ec3b1735cf69a4eef1f6a51d Mon Sep 17 00:00:00 2001
From: Pacien TRAN-GIRARD
Date: Fri, 13 Jun 2014 16:06:19 +0200
Subject: Update project

---
 FPGA/top/top.qsf | 57 ++++++++++++++++++++++++++++++++++++++++++++++++--------
 1 file changed, 49 insertions(+), 8 deletions(-)

(limited to 'FPGA/top/top.qsf')

diff --git a/FPGA/top/top.qsf b/FPGA/top/top.qsf
index 923b663..7f40654 100644
--- a/FPGA/top/top.qsf
+++ b/FPGA/top/top.qsf
@@ -123,8 +123,8 @@ set_location_assignment PIN_AE14 -to speed_user[1]
 set_location_assignment PIN_P25 -to speed_user[0]
 set_location_assignment PIN_N26 -to fan_auto_user
 set_location_assignment PIN_N25 -to alarm_user
-set_location_assignment PIN_V2 -to hot
-set_location_assignment PIN_V1 -to sound_high_level
+set_location_assignment PIN_M23 -to hot
+set_location_assignment PIN_M20 -to sound_high_level
 set_location_assignment PIN_AC22 -to speed[1]
 set_location_assignment PIN_AB21 -to speed[0]
 set_location_assignment PIN_AF23 -to fan_auto
@@ -132,6 +132,50 @@ set_location_assignment PIN_AE23 -to alarm
 set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
 set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
 set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
+set_global_assignment -name RESERVE_ALL_UNUSED_PINS_NO_OUTPUT_GND "AS INPUT TRI-STATED"
+set_location_assignment PIN_K26 -to fan
+set_location_assignment PIN_Y18 -to led_fan
+set_location_assignment PIN_B4 -to aud_bclk
+set_location_assignment PIN_A4 -to aud_dacdat
+set_location_assignment PIN_C6 -to aud_daclrck
+set_location_assignment PIN_A5 -to aud_xck
+set_location_assignment PIN_A6 -to i2c_sclk
+set_location_assignment PIN_B6 -to i2c_sdat
+set_location_assignment PIN_AE22 -to end_config
+set_location_assignment PIN_M25 -to xti_mclk
+set_global_assignment -name SOURCE_FILE ../display/lpm_constant_1.cmp
+set_global_assignment -name VHDL_FILE ../display/lpm_constant_1.vhd
+set_global_assignment -name BSF_FILE ../display/lpm_constant_a.bsf
+set_global_assignment -name VHDL_FILE ../display/lpm_constant_f.vhd
+set_global_assignment -name VHDL_FILE ../display/lpm_constant_a.vhd
+set_global_assignment -name QIP_FILE ../display/output_files/lpm_constant_hex000000.qip
+set_global_assignment -name QIP_FILE ../display/output_files/lpm_constant0.qip
+set_global_assignment -name QIP_FILE ../display/lpm_shiftreg0.qip
+set_global_assignment -name QIP_FILE ../display/lpm_counter0.qip
+set_global_assignment -name QIP_FILE ../display/lpm_constant7nada.qip
+set_global_assignment -name QIP_FILE ../pwm/lpm_mux0.qip
+set_global_assignment -name QIP_FILE ../pwm/lpm_counter1.qip
+set_global_assignment -name QIP_FILE ../pwm/lpm_counter0.qip
+set_global_assignment -name QIP_FILE ../pwm/lpm_constant3.qip
+set_global_assignment -name QIP_FILE ../pwm/lpm_constant2.qip
+set_global_assignment -name QIP_FILE ../pwm/lpm_constant1.qip
+set_global_assignment -name QIP_FILE ../pwm/lpm_constant0.qip
+set_global_assignment -name QIP_FILE ../pwm/lpm_compare0.qip
+set_global_assignment -name BDF_FILE ../display/useless.bdf
+set_global_assignment -name BDF_FILE sound_gene.bdf
+set_global_assignment -name BDF_FILE codec_clock.bdf
+set_global_assignment -name BDF_FILE ../codec_clock/codec_clock.bdf
+set_global_assignment -name BDF_FILE ../sound_gene/sound_gene.bdf
+set_global_assignment -name BDF_FILE ../pwm/pwm.bdf
+set_global_assignment -name BSF_FILE pwm.bsf
+set_global_assignment -name BSF_FILE lpm_mux0.bsf
+set_global_assignment -name BSF_FILE lpm_counter1.bsf
+set_global_assignment -name BSF_FILE lpm_counter0.bsf
+set_global_assignment -name BSF_FILE lpm_constant3.bsf
+set_global_assignment -name BSF_FILE lpm_constant2.bsf
+set_global_assignment -name BSF_FILE lpm_constant1.bsf
+set_global_assignment -name BSF_FILE lpm_constant0.bsf
+set_global_assignment -name BSF_FILE lpm_compare0.bsf
 set_global_assignment -name VHDL_FILE ../vhdl/seven_segment_decoder.vhd
 set_global_assignment -name VHDL_FILE ../vhdl/rom_sinus.vhd
 set_global_assignment -name VHDL_FILE ../vhdl/message.vhd
@@ -143,12 +187,6 @@ set_global_assignment -name VHDL_FILE ../vhdl/dds_sinus.vhd
 set_global_assignment -name VHDL_FILE ../vhdl/codec_dac.vhd
 set_global_assignment -name VHDL_FILE ../vhdl/codec_config.vhd
 set_global_assignment -name VHDL_FILE ../vhdl/clock_divider.vhd
-set_global_assignment -name VHDL_FILE ../display/lpm_constant_f.vhd
-set_global_assignment -name SOURCE_FILE ../display/lpm_constant_f.cmp
-set_global_assignment -name VHDL_FILE ../display/lpm_constant_a.vhd
-set_global_assignment -name SOURCE_FILE ../display/lpm_constant_a.cmp
-set_global_assignment -name VHDL_FILE ../display/lpm_constant_1.vhd
-set_global_assignment -name SOURCE_FILE ../display/lpm_constant_1.cmp
 set_global_assignment -name BDF_FILE ../commande/fan.bdf
 set_global_assignment -name BDF_FILE ../commande/alarm.bdf
 set_global_assignment -name BDF_FILE ../display/display.bdf
@@ -158,4 +196,7 @@ set_global_assignment -name TCL_SCRIPT_FILE 7seg_pin.tcl
 set_global_assignment -name TCL_SCRIPT_FILE lcd_pin.tcl
 set_global_assignment -name TCL_SCRIPT_FILE real_io_pin.tcl
 set_global_assignment -name TCL_SCRIPT_FILE demo_io_pin.tcl
+set_global_assignment -name QIP_FILE output_files/lpm_constant00.qip
+set_global_assignment -name QIP_FILE output_files/lpm_constant0.qip
+set_global_assignment -name QIP_FILE output_files/lpm_constant42.qip
 set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
\ No newline at end of file
-- 
cgit v1.2.3