diff options
author | Pacien TRAN-GIRARD | 2014-06-15 15:28:10 +0200 |
---|---|---|
committer | Pacien TRAN-GIRARD | 2014-06-15 15:28:10 +0200 |
commit | 4762ef9b7238f67d065775b752ebf51289c1f437 (patch) | |
tree | fb88da863e1bbc068d8258b285663013233ebe7b /FPGA/top/top.qsf | |
parent | fdd5c7e084529b2a09bed21aef44eb56e82075dc (diff) | |
download | fpga-home-automation-4762ef9b7238f67d065775b752ebf51289c1f437.tar.gz |
Clean project
Diffstat (limited to 'FPGA/top/top.qsf')
-rw-r--r-- | FPGA/top/top.qsf | 54 |
1 files changed, 15 insertions, 39 deletions
diff --git a/FPGA/top/top.qsf b/FPGA/top/top.qsf index 7f40654..2a07bb8 100644 --- a/FPGA/top/top.qsf +++ b/FPGA/top/top.qsf | |||
@@ -143,60 +143,36 @@ set_location_assignment PIN_A6 -to i2c_sclk | |||
143 | set_location_assignment PIN_B6 -to i2c_sdat | 143 | set_location_assignment PIN_B6 -to i2c_sdat |
144 | set_location_assignment PIN_AE22 -to end_config | 144 | set_location_assignment PIN_AE22 -to end_config |
145 | set_location_assignment PIN_M25 -to xti_mclk | 145 | set_location_assignment PIN_M25 -to xti_mclk |
146 | set_global_assignment -name SOURCE_FILE ../display/lpm_constant_1.cmp | 146 | set_global_assignment -name VHDL_FILE ../vhdl/seven_segment_decoder.vhd |
147 | set_global_assignment -name VHDL_FILE ../display/lpm_constant_1.vhd | 147 | set_global_assignment -name VHDL_FILE ../vhdl/rom_sinus.vhd |
148 | set_global_assignment -name BSF_FILE ../display/lpm_constant_a.bsf | 148 | set_global_assignment -name VHDL_FILE ../vhdl/message.vhd |
149 | set_global_assignment -name VHDL_FILE ../display/lpm_constant_f.vhd | 149 | set_global_assignment -name VHDL_FILE ../vhdl/lcd_message.vhd |
150 | set_global_assignment -name VHDL_FILE ../display/lpm_constant_a.vhd | 150 | set_global_assignment -name VHDL_FILE ../vhdl/lcd_controller.vhd |
151 | set_global_assignment -name QIP_FILE ../display/output_files/lpm_constant_hex000000.qip | 151 | set_global_assignment -name VHDL_FILE ../vhdl/lcd.vhd |
152 | set_global_assignment -name QIP_FILE ../display/output_files/lpm_constant0.qip | 152 | set_global_assignment -name VHDL_FILE ../vhdl/i2c_master.vhd |
153 | set_global_assignment -name QIP_FILE ../display/lpm_shiftreg0.qip | 153 | set_global_assignment -name VHDL_FILE ../vhdl/dds_sinus.vhd |
154 | set_global_assignment -name QIP_FILE ../display/lpm_counter0.qip | 154 | set_global_assignment -name VHDL_FILE ../vhdl/codec_dac.vhd |
155 | set_global_assignment -name VHDL_FILE ../vhdl/codec_config.vhd | ||
156 | set_global_assignment -name VHDL_FILE ../vhdl/clock_divider.vhd | ||
157 | set_global_assignment -name QIP_FILE ../display/lpm_constant_f.qip | ||
158 | set_global_assignment -name QIP_FILE ../display/lpm_constant_a.qip | ||
159 | set_global_assignment -name QIP_FILE ../display/lpm_constant_1.qip | ||
155 | set_global_assignment -name QIP_FILE ../display/lpm_constant7nada.qip | 160 | set_global_assignment -name QIP_FILE ../display/lpm_constant7nada.qip |
161 | set_global_assignment -name QIP_FILE ../display/lpm_shiftreg0.qip | ||
156 | set_global_assignment -name QIP_FILE ../pwm/lpm_mux0.qip | 162 | set_global_assignment -name QIP_FILE ../pwm/lpm_mux0.qip |
157 | set_global_assignment -name QIP_FILE ../pwm/lpm_counter1.qip | 163 | set_global_assignment -name QIP_FILE ../pwm/lpm_counter1.qip |
158 | set_global_assignment -name QIP_FILE ../pwm/lpm_counter0.qip | ||
159 | set_global_assignment -name QIP_FILE ../pwm/lpm_constant3.qip | 164 | set_global_assignment -name QIP_FILE ../pwm/lpm_constant3.qip |
160 | set_global_assignment -name QIP_FILE ../pwm/lpm_constant2.qip | 165 | set_global_assignment -name QIP_FILE ../pwm/lpm_constant2.qip |
161 | set_global_assignment -name QIP_FILE ../pwm/lpm_constant1.qip | 166 | set_global_assignment -name QIP_FILE ../pwm/lpm_constant1.qip |
162 | set_global_assignment -name QIP_FILE ../pwm/lpm_constant0.qip | 167 | set_global_assignment -name QIP_FILE ../pwm/lpm_constant0.qip |
163 | set_global_assignment -name QIP_FILE ../pwm/lpm_compare0.qip | 168 | set_global_assignment -name QIP_FILE ../pwm/lpm_compare0.qip |
164 | set_global_assignment -name BDF_FILE ../display/useless.bdf | 169 | set_global_assignment -name BDF_FILE ../display/useless.bdf |
165 | set_global_assignment -name BDF_FILE sound_gene.bdf | ||
166 | set_global_assignment -name BDF_FILE codec_clock.bdf | ||
167 | set_global_assignment -name BDF_FILE ../codec_clock/codec_clock.bdf | 170 | set_global_assignment -name BDF_FILE ../codec_clock/codec_clock.bdf |
168 | set_global_assignment -name BDF_FILE ../sound_gene/sound_gene.bdf | 171 | set_global_assignment -name BDF_FILE ../sound_gene/sound_gene.bdf |
169 | set_global_assignment -name BDF_FILE ../pwm/pwm.bdf | 172 | set_global_assignment -name BDF_FILE ../pwm/pwm.bdf |
170 | set_global_assignment -name BSF_FILE pwm.bsf | ||
171 | set_global_assignment -name BSF_FILE lpm_mux0.bsf | ||
172 | set_global_assignment -name BSF_FILE lpm_counter1.bsf | ||
173 | set_global_assignment -name BSF_FILE lpm_counter0.bsf | ||
174 | set_global_assignment -name BSF_FILE lpm_constant3.bsf | ||
175 | set_global_assignment -name BSF_FILE lpm_constant2.bsf | ||
176 | set_global_assignment -name BSF_FILE lpm_constant1.bsf | ||
177 | set_global_assignment -name BSF_FILE lpm_constant0.bsf | ||
178 | set_global_assignment -name BSF_FILE lpm_compare0.bsf | ||
179 | set_global_assignment -name VHDL_FILE ../vhdl/seven_segment_decoder.vhd | ||
180 | set_global_assignment -name VHDL_FILE ../vhdl/rom_sinus.vhd | ||
181 | set_global_assignment -name VHDL_FILE ../vhdl/message.vhd | ||
182 | set_global_assignment -name VHDL_FILE ../vhdl/lcd_message.vhd | ||
183 | set_global_assignment -name VHDL_FILE ../vhdl/lcd_controller.vhd | ||
184 | set_global_assignment -name VHDL_FILE ../vhdl/lcd.vhd | ||
185 | set_global_assignment -name VHDL_FILE ../vhdl/i2c_master.vhd | ||
186 | set_global_assignment -name VHDL_FILE ../vhdl/dds_sinus.vhd | ||
187 | set_global_assignment -name VHDL_FILE ../vhdl/codec_dac.vhd | ||
188 | set_global_assignment -name VHDL_FILE ../vhdl/codec_config.vhd | ||
189 | set_global_assignment -name VHDL_FILE ../vhdl/clock_divider.vhd | ||
190 | set_global_assignment -name BDF_FILE ../commande/fan.bdf | 173 | set_global_assignment -name BDF_FILE ../commande/fan.bdf |
191 | set_global_assignment -name BDF_FILE ../commande/alarm.bdf | 174 | set_global_assignment -name BDF_FILE ../commande/alarm.bdf |
192 | set_global_assignment -name BDF_FILE ../display/display.bdf | 175 | set_global_assignment -name BDF_FILE ../display/display.bdf |
193 | set_global_assignment -name BDF_FILE ../commande/commande.bdf | 176 | set_global_assignment -name BDF_FILE ../commande/commande.bdf |
194 | set_global_assignment -name BDF_FILE top.bdf | 177 | set_global_assignment -name BDF_FILE top.bdf |
195 | set_global_assignment -name TCL_SCRIPT_FILE 7seg_pin.tcl | ||
196 | set_global_assignment -name TCL_SCRIPT_FILE lcd_pin.tcl | ||
197 | set_global_assignment -name TCL_SCRIPT_FILE real_io_pin.tcl | ||
198 | set_global_assignment -name TCL_SCRIPT_FILE demo_io_pin.tcl | ||
199 | set_global_assignment -name QIP_FILE output_files/lpm_constant00.qip | ||
200 | set_global_assignment -name QIP_FILE output_files/lpm_constant0.qip | ||
201 | set_global_assignment -name QIP_FILE output_files/lpm_constant42.qip | ||
202 | set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file | 178 | set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file |